奥数 时钟问题

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/11 00:17:31
奥数 时钟问题

奥数 时钟问题
奥数 时钟问题

奥数 时钟问题
关于时钟问题的探讨
作者:未知

无沦是用离散逻辑、可编程逻辑,还是用全定制硅器件实现的任何数字设计,为了成功地操作,可靠的时钟是非常关键的.设计不良的时钟在极限的温度、电压或制造工艺的偏差情况下将导致错误的行为,并且调试困难、花销很大. 在设计pld/fpga时通常采用几种时钟类型.时钟可分为如下四种类型:全局时钟、门控时钟、多级逻辑时钟和波动式时钟.多时钟系统能够包括上述四种时钟类型的任意组合.
1.全局时钟
对于一个设计项目来说,全局时钟(或同步时钟)是最简单和最可预测的时钟.在pld/fpga设计中最好的时钟方案是:由专用的全局时钟输入引脚驱动的单个主时钟去钟控设计项目中的每一个触发器.只要可能就应尽量在设计项目中采用全局时钟.pld/fpga都具有专门的全局时钟引脚,它直接连到器件中的每一个寄存器.这种全局时钟提供器件中最短的时钟到输出的延时.
图1 示出全局时钟的实例.图1 定时波形示出触发器的数据输入d[1..3]应遵守建立时间和保持时间的约束条件.建立和保持时间的数值在pld数据手册中给出,也可用软件的定时分析器计算出来.如果在应用中不能满足建立和保持时间的要求,则必须用时钟同步输入信号(参看下一章“异步输入”).
图1 全局时钟
(最好的方法是用全局时钟引脚去钟控pld内的每一个寄存器,于是数据只要遵守相对时钟的建立时间tsu和保持时间th)
2.门控时钟
在许多应用中,整个设计项目都采用外部的全局时钟是不可能或不实际的.pld具有乘积项逻辑阵列时钟(即时钟是由逻辑产生的),允许任意函数单独地钟控各个触发器.然而,当你用阵列时钟时,应仔细地分析时钟函数,以避免毛刺.
通常用阵列时钟构成门控时钟.门控时钟常常同微处理器接口有关,用地址线去控制写脉冲.然而,每当用组合函数钟控触发器时,通常都存在着门控时钟.如果符合下述条件,门控时钟可以象全局时钟一样可靠地工作:
1.驱动时钟的逻辑必须只包含一个“与”门或一个“或”门.如果采用任何附加逻在某些工作状态下,会出现竞争产生的毛刺.
2.逻辑门的一个输入作为实际的时钟,而该逻辑门的所有其它输入必须当成地址或控制线,它们遵守相对于时钟的建立和保持时间的约束.
图2和图3 是可靠的门控时钟的实例.在 图2 中,用一个“与”门产生门控时钟,在 图3 中,用一个“或”门产生门控时钟.在这两个实例中,引脚nwr和nwe考虑为时钟引脚,引脚add[o..3]是地址引脚,两个触发器的数据是信号d[1..n]经随机逻辑产生的.
图2 “与”门门控时钟
图3 “或”门门控时钟
图2和图3 的波形图显示出有关的建立时间和保持时间的要求.这两个设计项目的地址线必须在时钟保持有效的整个期间内保持稳定(nwr和nwe是低电平有效).如果地址线在规定的时间内未保持稳定,则在时钟上会出现毛刺,造成触发器发生错误的状态变化.另一方面,数据引脚d[1..n]只要求在nwr和nwe的有效边沿处满足标准的建立和保持时间的规定.
我们往往可以将门控时钟转换成全局时钟以改善设计项目的可靠性.图4 示出如何用全局时钟重新设计 图2 的电路.地址线在控制d触发器的使能输入,许多pld设计软件,如max+plusii软件都提供这种带使能端的d触发器.当ena为高电平时,d输入端的值被钟控到触发器中:当ena为低电平时,维持现在的状态.
图4 “与”门门控时钟转化成全局时钟
图4 中重新设计的电路的定时波形表明地址线不需要在nwr有效的整个期间内保持稳定;而只要求它们和数据引脚一样符合同样的建立和保持时间,这样对地址线的要求就少很多.
图 给出一个不可靠的门控时钟的例子.3位同步加法计数器的rco输出用来钟控触发器.然而,计数器给出的多个输入起到时钟的作用,这违反了可靠门控时钟所需的条件之一.在产生rco信号的触发器中,没有一个能考虑为实际的时钟线,这是因为所有触发器在几乎相同的时刻发生翻转.而我们并不能保证在pld/fpga内部qa,qb,qc到d触发器的布线长短一致,因此,如 图5 的时间波形所示,在器从3计到4时,rco线上会出现毛刺(假设qc到d触发器的路径较短,即qc的输出先翻转).
图5 不可靠的门控时钟
(定时波形示出在计数器从3到4改变时,rco信号如何出现毛刺的)
图6 给出一种可靠的全局钟控的电路,它是图5不可靠计数器电路的改进,rco控制d触发器的使能输入.这个改进不需要增加pld的逻辑单元.
图6 不可靠的门控时钟转换为全局时钟
(这个电路等效于图5电路,但却可靠的多)
3.多级逻辑时钟
当产生门控时钟的组合逻辑超过一级(即超过单个的“与”门或“或”门)时,证设计项目的可靠性变得很困难.即使样机或仿真结果没有显示出静态险象,但实际上仍然可能存在着危险.通常,我们不应该用多级组合逻辑去钟控pld设计中的触发器.
图7 给出一个含有险象的多级时钟的例子.时钟是由sel引脚控制的多路选择器输出的.多路选择器的输入是时钟(clk)和该时钟的2分频(div2).由图7 的定时波形图看出,在两个时钟均为逻辑1的情况下,当sel线的状态改变时,存在静态险象.险象的程度取决于工作的条件. 多级逻辑的险象是可以去除的.例如,你可以插入“冗余逻辑”到设计项目中.然而,pld/fpga编译器在逻辑综合时会去掉这些冗余逻辑,使得验证险象是否真正被去除变得困难了.为此,必须应寻求其它方法来实现电路的功能.
图7 有静态险象的多级时钟
图8 给出 图7 电路的一种单级时钟的替代方案.图中sel引脚和div2信号用于使能d触发器的使能输入端,而不是用于该触发器的时钟引脚.采用这个电路并不需要附加pld的逻辑单元,工作却可靠多了. 不同的系统需要采用不同的方法去除多级时钟,并没有固定的模式.
图7 无静态险象的多级时钟
(这个电路逻辑上等效于图7,但却可靠的多)
4.行波时钟
另一种流行的时钟电路是采用行波时钟,即一个触发器的输出用作另一个触发器的时钟输入.如果仔细地设计,行波时钟可以象全局时钟一样地可靠工作.然而,行波时钟使得与电路有关的定时计算变得很复杂.行波时钟在行波链上各触发器的时钟之间产生较大的时间偏移,并且会超出最坏情况下的建立时间、保持时间和电路中时钟到输出的延时,使系统的实际速度下降.
用计数翻转型触发器构成异步计数器时常采用行波时钟,一个触发器的输出钟控下一个触发器的输入,参看图9 同步计数器通常是代替异步计数器的更好方案,这是因为两者需要同样多的宏单元而同步计数器有较快的时钟到输出的时间.图10 给出具有全局时钟的同步计数器,它和 图9 功能相同,用了同样多的逻辑单元实现,却有较快的时钟到输出的时间.几乎所有pld开发软件都提供多种多样的同步计数器.
图9 行波时钟
图10 行波时钟转换成全局时钟
(这个3位计数器是图9异步计数器的替代电路,它用了同样的3个宏单元,但有更短的时钟到输出的延时)
5. 多时钟系统
许多系统要求在同一个pld内采用多时钟.最常见的例子是两个异步微处理器器之间的接口,或微处理器和异步通信通道的接口.由于两个时钟信号之间要求一定的建立和保持时间,所以,上述应用引进了附加的定时约束条件.它们也会要求将某些异步信号同步化.
图11 给出一个多时钟系统的实例.clk_a用以钟控reg_a,clk_b用于钟控reg_b,由于reg_a驱动着进入reg_b的组合逻辑,故clk_a的上升沿相对于clk_b的上升沿有建立时间和保持时间的要求.由于reg_b不驱动馈到reg_a的逻辑,clk_b的上升沿相对于clk_a没有建立时间的要求.此外,由于时钟的下降沿不影响触发器的状态,所以clk_a和clk_b的下降沿之间没有时间上的要求., 如图4,2.ii所示,电路中有两个独立的时钟,可是,在它们之间的建立时间和保持时间的要求是不能保证的.在这种情况下,必须将电路同步化.图12 给出reg_a的值(如何在使用前)同clk_b同步化.新的触发器reg_c由glk_b触控,保证reg_g的输出符合reg_b的建立时间.然而,这个方法使输出延时了一个时钟周期.
图ll 多时钟系统
(定时波形示出clk_a的上升沿相对于clk_b的上升沿有建立时间和保持时间的约束条件)
图12 具有同步寄存器输出的多时钟系统
(如果clk_a和clk_b是相互独立的,则reg—a的输出必须在它馈送到1reg_b之前,用reg_c同步化)
在许多应用中只将异步信号同步化还是不够的,当系统中有两个或两个以上非同源时钟的时候,数据的建立和保持时间很难得到保证,我们将面临复杂的时间问题.最好的方法是将所有非同源时钟同步化.使用pld内部的锁项环(pll或dll)是一个效果很好的方法,但不是所有pld都带有pll、dll,而且带有pll功能的芯片大多价格昂贵,所以除非有特殊要求,一般场合可以不使用带pll的pld. 这时我们需要使用带使能端的d触发器,并引入一个高频时钟.
图13 不同源时钟
如图13所示,系统有两个不同源时钟,一个为3mhz,一个为5mhz,不同的触发器使用不同的时钟.为了系统稳定,我们引入一个20mhz时钟,将3m和5m时钟同步化,如图15所示. 20m的高频时钟将作为系统时钟,输入到所有触发器的的时钟端.3m_en 和5m_en将控制所有触发器的使能端.即原来接3m时钟的触发器,接20m时钟,同时3m_en 将控制该触发器使能 ,原接5m时钟的触发器,也接20m时钟,同时5m_en 将控制该触发器使能. 这样我们就可以将任何非同源时钟同步化.
图13 同步化任意非同源时钟
(一个dff和后面非门,与门构成时钟上升沿检测电路)
另外,异步信号输入总是无法满足数据的建立保持时间,容易使系统进入亚稳态,所以也建议设计者把所有异步输入都先经过双触发器进行同步化,详情可参阅这篇文章::are your pld metastable.
小结:稳定可靠的时钟是系统稳定可靠的重要条件,我们不能够将任何可能含有毛刺的输出作为时钟信号,并且尽可能只使用一个全局时钟,对多时钟系统要注意同步异步信号和非同源时钟.